Dynamic Voltage And Frequency Scaling For Shared Resources In Multicore Processor Designs

DAC(2013)

引用 82|浏览36
暂无评分
摘要
As the core count in processor chips grows, so do the on-die, shared resources such as on-chip communication fabric and shared cache, which are of paramount importance for chip performance and power. This paper presents a method for dynamic voltage/frequency scaling of networks-on-chip and last level caches in multicore processor designs, where the shared resources form a single voltage/frequency domain. Several new techniques for monitoring and control are developed, and validated through full system simulations on the PARSEC benchmarks. These techniques reduce energy-delay product by 56% compared to a state-of-the-art prior work.
更多
查看译文
关键词
cache storage,multiprocessing systems,network-on-chip,PARSEC benchmarks,dynamic voltage scaling,frequency scaling,last level caches,multicore processor designs,network on chip,on chip communication fabric,shared cache,shared resources,
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要