谷歌浏览器插件
订阅小程序
在清言上使用

一种基于FPGA带限数字噪声发生电路的没计

Electronics Quality(2009)

引用 1|浏览1
暂无评分
摘要
介绍了一种基于FPGA带限噪声发生电路的结构和寅现.该数字噪声信号发生电路采用数字方法生成基带噪声序列,并加入数字低通滤波,可在线修改噪声带宽和幅度.使用方便灵活,并且具有很好的可扩展性.
更多
查看译文
关键词
white gauss noise,baseband noise,bandwidth limited,amplitude
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要