Chrome Extension
WeChat Mini Program
Use on ChatGLM

Avid Execution and Instruction Pruning in the Asynchronous Processor Kin

msra(1997)

Cited 23|Views24
No score
Abstract
Abstract Avid execution prefetches and speculatively executes not only the predicted branches of a program but also a certain part of the non-predicted branches, in anticipation of misprediction. Once a misprediction occurs, the processor may immediately turn to one of the secondary paths and continue executing without interruption. The stale instructions are removed,quietly without flushing the processor, by means of a pruning process. Avid execution employs computing resources which cannot be used for the mainline code, due to limited instruction level parallelism. Itis intended for very large high performance processors, and is best used in asynchronous processors. The paper provides a full definition and mathematical analysis of Avid execution. A behavioral model of the Kinasy nchronous processor has been simulated executing SpecInt95, and performance,improvements,of close to 100% are predicted thanks to Avid execution.
More
Translated text
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined