Illusionist: Transforming lightweight cores into aggressive cores on demand

High Performance Computer Architecture(2013)

引用 15|浏览0
暂无评分
摘要
Power dissipation limits combined with increased silicon integration have led microprocessor vendors to design chip multiprocessors (CMPs) with relatively simple (lightweight) cores. While these designs provide high throughput, single-thread performance has stagnated or even worsened. Asymmetric CMPs offer some relief by providing a small number of high-performance (aggressive) cores that can accelerate specific threads. However, threads are only accelerated when they can be mapped to an aggressive core, which are restricted in number due to power and thermal budgets of the chip. Rather than using the aggressive cores to accelerate threads, this paper argues that the aggressive cores can have a multiplicative impact on single-thread performance by accelerating a large number of lightweight cores and providing an illusion of a chip full of aggressive cores. Specifically, we propose an adaptive asymmetric CMP, Illusionist, that can dynamically boost the system throughput and get a higher single-thread performance across the chip. To accelerate the performance of many lightweight cores, those few aggressive cores run all the threads that are running on the lightweight cores and generate execution hints. These hints are then used to accelerate the execution of the lightweight cores. However, the hardware resources of the aggressive core are not large enough to allow the simultaneous execution of a large number of threads. To overcome this hurdle, Illusionist performs aggressive dynamic program distillation to execute small, critical segments of each lightweight-core thread. A combination of dynamic code removal and phase-based pruning distill programs to a tiny fraction of their original contents. Experiments demonstrate that Illusionist achieves 35% higher single thread performance for all the threads running on the system, compared to a CMP with all lightweight cores, while achieving almost 2X higher system throughput compared to a CMP with all aggressive cores.
更多
查看译文
关键词
phase-based pruning,dynamic code removal,power aware computing,cmp design,microprocessor chips,thermal budgets,lightweight core,execution hint,hardware resources,small number,chip multiprocessor design,silicon integration,multi-threading,performance acceleration,higher single-thread performance,thermal management (packaging),lightweight-core thread,large number,power dissipation limits,single thread performance,multiprocessing systems,aggressive core,thread acceleration,illusionist,execution hint generation,integrated circuit design,adaptive asymmetric cmp,performance evaluation,single-thread performance,high throughput single-thread performance,aggressive dynamic program distillation,chip multiprocessors,microprocessor vendors,aggressive cores,high-performance cores,power budgets,multi threading
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要