Post-silicon power mapping techniques for integrated circuits

Integration(2013)

引用 9|浏览0
暂无评分
摘要
We propose a new methodology for post-silicon power validation using the captured thermal infrared emissions from the back-side of operational integrated circuits. We first identify the challenges associated with thermal to power inversion, and then we address these challenges by devising a quadratic optimization formulation that incorporates Tikhonov filtering techniques to find the most accurate power maps. To validate our methodology, a programmable circuit of micro-heaters is implemented to create a number of reference power maps. The thermal emissions from the circuit are captured using an infrared camera and then inverted to yield highly accurate post-silicon power maps.
更多
查看译文
关键词
Power mapping,Infrared imaging,Power analysis,Post-silicon validation
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要