Subspace Snooping: Exploiting Temporal Sharing Stability for Snoop Reduction

IEEE Transactions on Computers(2012)

引用 5|浏览0
暂无评分
摘要
Although snoop-based coherence protocols provide fast cache-to-cache transfers with a simple and robust coherence mechanism, scaling the protocols has been difficult due to the overheads of broadcast snooping. In this paper, we propose a coherence filtering technique called subspace snooping, which stores the potential sharers of each memory page in the page table entry. By using the sharer information in the page table entry, coherence transactions for a page generate snoop requests only to the subset of nodes in the system. However, the coherence subspace of a page may evolve, as the phases of applications may change or the operating system may migrate threads to different nodes. To adjust subspaces dynamically, subspace snooping supports two different shrinking mechanisms, which remove obsolete nodes from subspaces. Among the two shrinking mechanisms, subspace snooping with safe shrinking can be integrated to any type of coherence protocols and network topologies, as it guarantees that a subspace always contains the precise sharers of a page. Speculative shrinking breaks the subspace superset property, but achieves better snoop reductions than safe shrinking. We evaluate subspace snooping with Token Coherence on unordered mesh networks. Subspace snooping reduces 58 percent of snoops on average for a set of parallel scientific and server workloads, and 87 percent for our multiprogrammed workloads.
更多
查看译文
关键词
shrinking mechanisms,coherence transaction,protocols,speculative shrinking breaks,coherence protocol,server workloads,snoop-based coherence protocol,cache storage,instruction sets,cache coherence,multiprogrammed workloads,coherence,coherence transactions,unordered mesh networks,bandwidth,network topology,operating systems (computers),coherence subspace,page table entry,parallel scientific workloads,system-on-a-chip,operating system,subspace snooping,memory page,exploiting temporal,shared memory systems,low-power design,snoop reduction,snoop-based coherence protocols,token coherence,network topologies,operating systems,subspace superset property,coherence filtering technique,safe shrinking,different shrinking mechanism,power demand,robust coherence mechanism,multicore/single-chip multiprocessors,cache-to-cache transfers,temporal sharing stability,system on a chip
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要