Performance analysis of FPGA interconnect fabric for ultra-low power applications

ICCCS '11: Proceedings of the 2011 International Conference on Communication, Computing & Security(2011)

引用 1|浏览0
暂无评分
摘要
Fabrication cost of ASICs is increases rapidly for deep submicron technology. It is important to explore the different techniques to reduce the FPGA power consumption so that in future they can also be deploy in place of ASICs in portable energy constrained applications. Since power is an important design constrain for FPGA in nanometer technology, it is important to investigate the possibility of extending the use of FPGA to the subthreshold region for ultra low power applications. Interconnect fabric of an FPGA consumes a large amount of the chip power, area and determines the overall circuit delay. Exponential increase in resistance of interconnects driver in subthreshold region gives huge penalty in terms of speed of FPGA interconnect fabric. Improving speed is one of the subthreshold circuit design challenge. In particular, this paper investigates the performance of FPGA interconnect resource using CMOS, Carbon Nano Tube Transistors (CNFETs), FinFET and operating drivers in near threshold region. The proposed interconnect fabric in which drivers are operated in near threshold voltage shows 3.6 X, 3X and 1.25X improvement in speed over conv. CMOS, Opt-CNFET and FINFET driver based HEX interconnect resource.
更多
查看译文
关键词
performance analysis,improving speed,ultra-low power application,deep submicron technology,important design,subthreshold circuit design challenge,subthreshold region,chip power,finfet driver,fpga power consumption,near threshold region,ultra low power application,chip,threshold voltage,fpga,subthreshold,circuit design
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要