Double-Data-Rate, Wave-Pipelined Interconnect for Asynchronous NoCs

IEEE Micro(2009)

Cited 11|Views0
No score
Abstract
DWP, a new interconnect structure for asynchronous networks on chip in multiprocessing SoCs, yields higher throughput, consumes less power, suffers less from crosstalk noise, and requires less area than traditional interconnect structures. Its advantages stem from techniques including wave pipelining, double-data-rate transmission, interleaved lines, misaligned repeaters, and clock gating.
More
Translated text
Key words
asynchronous network,crosstalk noise,wave-pipelined interconnect,interleaved line,yields higher throughput,asynchronous nocs,wave pipelining,clock gating,multiprocessing socs,misaligned repeater,double-data-rate transmission,double data rate,multiprocessor,synchronization,system on chip,interconnect,network on chip,asynchronous
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined